论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 1326阅读
  • 9回复

[行业软件]Synopsys IC Compiler II vP-2019.03-SP5 Linux [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53238
今日发帖
最后登录
2024-04-24
只看楼主 倒序阅读 使用道具 楼主  发表于: 2022-12-26 08:25:57

Synopsys IC Compiler II vP-2019.03-SP1 | 1.3 Gb

Synopsys, Inc., the world leader in semiconductor design software, is pleased to announce the availability of IC Compiler II vP-2019.03-SP1 is a complete netlist-to-GDSII implementation system that includes early design exploration and prototyping, detailed design planning, block implementation, chip assembly and sign-off driven design closure.

IC Compiler II is the industry leading place and route solution that delivers best-in-class quality-of-results (QoR) for next generation designs across all market verticals and process technologies, while enabling unprecedented productivity. IC Compiler II includes innovative for flat and hierarchical design planning, early design exploration, congestion aware placement and optimization, clock tree synthesis, advanced node routing convergence, manufacturing compliance, and signoff closure.


IC Compiler II is specifically architected to address aggressive performance, power, area (PPA), and time-to-market pressures of leading edge designs. Key technologies include a pervasively parallel optimization framework, multi-objective global placement, routing driven placement optimization, full flow Arc based concurrent clock and data optimization, total power optimization, multi-pattern and FinFET aware flow and machine learning (ML) driven optimization for fast and predictive design closure. Advanced Fusion technologies offer signoff IR drop driven optimization, PrimeTime delay calculation within IC Compiler II, exhaustive path based analysis (PBA) and signoff ECO within place and route for unmatched QoR and design convergence.

Efficient Top-Level Interconnect Planning and Implementation with Synopsys IC Compiler II | Synopsys







Jiangtao Meng, Sr. R&D Manager at Synopsys, discusses how IC Compiler II and Fusion Compiler enable intelligent planning and implementation of complex interconnects through innovative Topological Interconnect Planning (TIP) technology to accelerate project schedules while achieving the highest performance designs.
Synopsys, Inc. is the world leader in electronic design automation (EDA) software for semiconductor design. The company delivers technology-leading semiconductor design and verification platforms and IC manufacturing software products to the global electronics market, enabling the development and production of complex systems-on-chips (SoCs). Synopsys also provides intellectual property and design services to simplify the design process and accelerate time-to-market for its customers. Synopsys is headquartered in Mountain View, California and has offices in more than 60 locations throughout North America, Europe, Japan and Asia.

Product: Synopsys IC Compiler II
Version: vP-2019.03-SP5
Supported Architectures: x86_64
Website Home Page : www.synopsys.com
Languages Supported: english
System Requirements: Linux *
Size: 1.3 Gb

本部分内容设定了隐藏,需要回复后才能看到


软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线pancyu

发帖
894
今日发帖
最后登录
2024-01-22
只看该作者 沙发  发表于: 2022-12-26 09:16:25
离线szflydragon

发帖
136
今日发帖
最后登录
2024-03-26
只看该作者 板凳  发表于: 2022-12-26 13:44:35
Linux版的,少见啊
离线xy19637

发帖
21
今日发帖
最后登录
2024-04-11
只看该作者 地板  发表于: 2023-02-01 15:38:06
正需要,感谢
离线mypwjclu

发帖
3468
今日发帖
最后登录
2024-04-24
只看该作者 地下室  发表于: 2023-02-02 13:53:00
谢谢楼主分享。
离线iwktd1220

发帖
158
今日发帖
最后登录
2024-04-24
只看该作者 5 发表于: 2023-02-03 05:03:21
感谢楼主分享,下载学习学习
软件下载咨询 sdbeta@qq.com
 
离线卯木

发帖
3
今日发帖
最后登录
2023-07-13
只看该作者 6 发表于: 2023-03-05 15:31:27
谢谢楼主分享
离线hatkama

发帖
29
今日发帖
最后登录
2024-03-18
只看该作者 7 发表于: 2023-06-09 00:34:46
谢谢楼主分享。
离线shixq

发帖
3
今日发帖
最后登录
2024-02-07
只看该作者 8 发表于: 2023-08-24 13:24:15
支持楼主的分享。
离线ganjun2001

发帖
2951
今日发帖
最后登录
2024-04-24
只看该作者 9 发表于: 2023-08-24 16:39:39