论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 14257阅读
  • 22回复

[行业软件]DVT Eclipise 2020 version 20.1.x [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53240
今日发帖
最后登录
2024-04-25
只看楼主 倒序阅读 使用道具 楼主  发表于: 2021-04-21 15:04:36

DVT Eclipise 2020 version 20.1.x | 3.3 Gb

AMIQ EDA, a pioneer in integrated development environments (IDEs) for hardware design and verification and a provider of platform-independent software tools for efficient code development and analysis, has launched 20.1 release of its Design and Verification Tools (DVT) Eclipse IDE includes important new features for developing, viewing, and maintaining complex hardware description language (HDL) designs.

These features include:

- Redesigned Design Hierarchy View
- New Theme Engine, including support for dark themes
- WaveDrom timing diagrams
- Universal Verification Methodology (UVM) register bitfield diagrams
- Finite-state machine (FSM) transition tables
- Rich tooltips with JavaDoc and Natural Docs support
- Additional refactoring for register-transfer level (RTL) code
- Support for hyperlinks when working with generated RTL code

As an example of an industry trend, many applications now load information as needed rather than forcing users to wait for everything to load before seeing anything. The redesigned Design Hierarchy View adopts this familiar approach for dramatic speedup and reduced memory consumption. Dark themes have also become popular in many applications to reduce eyestrain and extend battery life for portable devices. The new Theme Editor in DVT Eclipse IDE allows users to customize the theme, including built-in support for dark themes.

Waveforms are a key element of electronic design and verification, and many engineers have adopted the open-source WaveDrom tool for rendering images of complex waveforms from simple textual descriptions. The new release of DVT Eclipse IDE integrates WaveDrom support, including generation of waveforms from comments in RTL code. WaveDrom technology is also the basis for generation of UVM register bitfield diagrams. Users can hover over a UVM register definition and instantly visualize the bitfield layout.

Generation of state machine diagrams from FSMs in RTL code has long been a popular capability of DVT Eclipse IDE. Users requested the generation of state transition tables as well, so this feature is included in the 20.1 release. Other visualization enhancements include redesigned tooltips that are formatted based on JavaDoc and NaturalDocs comments in RTL code.

DVT Eclipse IDE includes sophisticated analysis engines underlying the intuitive graphical interface. Among other capabilities, these engines support refactoring, which modifies code to improve readability and maintainability while not changing its functionality. The new release includes two new powerful refactoring options. Users can now extract a section of code from one RTL module and relocate it to another module or a new file. This makes it easy to take a large module and break it up into several pieces for better readability and easier reuse.

The other new feature allows users to rename ports across the entire design hierarchy easily. The IDE automatically traverses up and down the hierarchy through the port connections, renaming appropriately at all levels. This saves many hours of manually tracing signals through dozens or hundreds of files and editing all that code.


version 20.1.x - release notes


#.# - major version - Includes new features, major enhancements, architectural changes, bug fixes. Since 2015, a major version is named in sync with the release year, for example the first major version of 2015 is 15.1.
NOTE: When switching to a new major version it is recommended to start in a new workspace.

#.#.# - minor version - Includes bug fixes, minor enhancements.

20.1.31 (11 September 2020)

Enhancements
- DVT-14566 Add support for generic parameters in HTML tooltips and comment hyperlinks
- DVT-14567 Add support for macros in HTML tooltips and comment hyperlinks
- DVT-15267 Add support for double colon as segment path separator in hyperlinks
- DVT-15270 Improve accuracy of element searching for hyperlinks in comments
- DVT-15316 Closing a project should cancel an ongoing restore operation
Bugfixes
- DVT-15330 Wrong label for "Show Instances" action triggered from the editor right click menu
- DVT-15334 dvt.sh always exits with non-zero code

20.1.40 (13 November 2020)

Performance
- DVT-15469 Decrease tooltip rendering timeout to prevent UI slowdowns
Bugfixes
- DVT-15465 Enum items do not appear in inspect view, enum definition appears instead
- DVT-15486 StackOverflowError thrown when triggering some shortcuts (Eclipse 4.11)
- DVT-15563 No matches when re-running a previous search query after incremental build
- DVT-15565 Hyperlink: Jump to declaration for enum items opens the parent struct declaration instead of the enum item declaration

20.1.9 (30 April 2020)

Features
- DVT-12679 DVT CLI: Add dvt_cli.bat script for Windows
- DVT-14325 DVT CLI: Add -nostart flag to avoid starting a new Eclipse instance
Enhancements
- DVT-4591 DVT CLI: Use the last workspace or $HOME/dvt_workspace if no workspace is specified
- DVT-5502 DVT CLI: Add support for relative paths in createProject and importProject commands
- DVT-14232 Use full path to system commands in Windows scripts to avoid issues when different tools replace the builtin commands
- DVT-14427 Show macro and parameter values in autocomplete tooltips
- DVT-14488 DVT CLI: Ability to open a non-blocking dialog when using openCustomDialog command
Bugfixes
- DVT-12198 DVT CLI: Killing a dvt_cli.sh -noexit using Ctrl+C does not kill the spawned Eclipse process
- DVT-14538 Theme Engine: "Restore Defaults" action does not change Eclipse Theme to classic on Linux
- DVT-14544 Add "Open First Implementation" hyperlink on event declaration
- DVT-14555 Some arguments / preferences and internal settings are ignored when starting dvt.sh with -bg
- DVT-14557 Autocomplete tooltips are showing internal ID's instead of the correct information






Design and Verification Tools (DVT) is an integrated development environment (IDE) for the e language, SystemVerilog, Verilog, Verilog-AMS, VHDL, PSS, SLN, SDL, UPF, CPF. It is similar to well-known programming tools like Visual Studio , NetBeans , and IntelliJ.DVT consists of a parser, a smart code editor, an intuitive graphical user interface, and a comprehensive set of features that help with code writing, inspection, navigation, and debugging. DVT provides capabilities that are specific to the hardware design and verification domain, such as design diagrams, signal tracing, power domains visualization, and verification methodology support.

DVT is a powerful tool that allows engineers to overcome the limitations of plain text code editors and address today’s project complexity more efficiently. It enables faster and smarter code development and simplifies legacy code maintenance for novices and experts alike. Unlike plain text editors providing regular expression based capabilities, the DVT Eclipse IDE compiles the code and signals errors as you type, speeds-up code writing using auto-complete and quick fix proposals, and allows you to find anything you are looking for instantly.

For design and verification engineers who are working with Verilog, SystemVerilog, Verilog AMS, VHDL, UPF, CPF, e Language, PSS, SLN, or SDL, the Design and Verification Tools (DVT) Eclipse IDE is an integrated development environment (IDE) that significantly improves productivity. What the DVT Eclipse IDE can give you in seconds would likely have taken you several minutes or hours to find and do by hand.

It is similar to well-known programming tools like Visual Studio, NetBeans, and IntelliJ that are commonly used in the software world

Understanding UVM verification environments using DVT Eclipse IDE







AMIQ EDA provides design and verification engineers with platform-independent software tools that enable them to increase the speed and quality of new code development, simplify debugging and legacy code maintenance, accelerate language and methodology learning, improve testbench reliability, extract automatically accurate documentation, and implement best coding practices. Its solutions, DVT Eclipse IDE, DVT Debugger, Verissimo SystemVerilog Testbench Linter, and Specador Documentation Generator have been adopted worldwide. AMIQ strives to deliver high quality solutions and customer service responsiveness. For more information about AMIQ EDA and its solutions,

Product: DVT Eclipise
Version: 2020 version 20.1.x*
Supported Architectures: x64
Website Home Page : www.dvteclipse.com
Language: english
System Requirements: PC / Linux **
Size: 3.3 Gb


* release info:


dvt_kit-20.1.9-e47-linux_64.rar
dvt_kit-20.1.9-e47-win_64.rar
dvt_kit-20.1.31-e411-win_64.rar
dvt_kit-20.1.40-e47-linux_64.rar

My_First_SystemVerilog_Project_with_the_DVT_Eclipse_IDE.pdf

** System Requirements:


Minimum requirements: 64-bit OS, GTK+ ≥3.22.0 and WebKitGTK+ ≥2.5.
Recommended OS: RHEL 7.9 / 8.2, SLES 15(SP2), Ubuntu 20.04.

Minimum requirements: 64-bit OS.
Recommended OS: Windows 10
此帖售价 29 电魂,已有 10 人购买 [记录] [购买]
购买后,将显示帖子中所有出售内容。
若发现会员采用欺骗的方法获取财富,请立刻举报,我们会对会员处以2-N倍的罚金,严重者封掉ID!
此段为出售的内容,购买后显示

软件下载咨询 sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线kairimai

发帖
1342
今日发帖
最后登录
2024-04-27
只看该作者 沙发  发表于: 2021-04-21 17:57:13
谢谢。。。。
离线gqhaha

发帖
727
今日发帖
最后登录
2024-04-27
只看该作者 板凳  发表于: 2021-04-21 18:08:22
Minimum requirements: 64-bit OS.
Recommended OS: Windows 10
离线guanyongfeng

发帖
908
今日发帖
最后登录
2024-04-27
只看该作者 地板  发表于: 2021-04-21 20:51:32
支持楼主分享~!~!~!~
离线cwawj1_mhgsh

发帖
199
今日发帖
最后登录
2024-04-26
只看该作者 地下室  发表于: 2021-04-22 05:34:49
谢谢分享

发帖
24
今日发帖
最后登录
2021-07-31
只看该作者 5 发表于: 2021-04-24 13:46:21
Re:DVT Eclipise 2020 version 20.1.x
软件下载咨询 sdbeta@qq.com
 
离线mypwjclu

发帖
3472
今日发帖
最后登录
2024-04-26
只看该作者 6 发表于: 2021-04-25 00:48:36
谢谢楼主分享。
离线dgd2019

发帖
7362
今日发帖
最后登录
2024-04-27
只看该作者 7 发表于: 2021-04-25 16:39:44
谢谢分享
离线emtang

发帖
3
今日发帖
最后登录
2021-07-24
只看该作者 8 发表于: 2021-06-14 13:23:24
学习一下学习一下学习一下
离线dyj04

发帖
3
今日发帖
最后登录
2021-07-02
只看该作者 9 发表于: 2021-07-02 17:02:58
学习一下学习一下学习一下