论坛风格切换
正版合作和侵权请联系 sd173@foxmail.com
 
  • 帖子
  • 日志
  • 用户
  • 版块
  • 群组
帖子
购买邀请后未收到邀请联系sdbeta@qq.com
  • 11038阅读
  • 19回复

[行业软件]Aldec Active-HDL 13.0.375.8320 x64 + Libraries [复制链接]

上一主题 下一主题
离线pony8000
 

发帖
53221
今日发帖
最后登录
2024-03-28
只看楼主 倒序阅读 使用道具 楼主  发表于: 2021-01-12 08:07:25
Active-HDL™是基于Windows®的集成FPGA设计创建和仿真解决方案,用于基于团队的环境。Active-HDL的集成设计环境(IDE)包括完整的HDL和图形设计工具套件以及RTL /门级混合语言模拟器,用于快速部署和验证FPGA设计。 Active-HDL的集成设计环境(IDE)包括一个完整的HDL和图形设计工具套件以及用于快速部署和验证FPGA设计的RTL /门级混合语言模拟器。设计流程管理器在设计输入,仿真,综合和实现流程中唤起120多种EDA和FPGA工具,并允许团队在整个FPGA开发过程中保持在一个通用平台内。 Active-HDL 10.1提供了许多新功能和增强功能,可简化基于团队的设计,提高设计效率,以及VHDL,Verilog®,SystemC™,SystemVerilog和EDIF项目的行为,RTL和时序仿真速度。新版本采用独立于FPGA供应商的版本,支持所有领先的C / HDL综合和实现工具,可直接从Active-HDL环境启动。安装程序自动安装所有系统库,并允许选择运行HDL仿真所需的目标FPGA技术和供应商特定库。本次带来破解版下载,含破解文件,有需要的朋友不要错过了!
设计流程管理器在设计输入,仿真,综合和实现流程中调用200多种EDA和FPGA工具,并允许团队在整个FPGA开发过程中停留在一个通用平台内。Active-HDL支持来自英特尔®,Lattice®,Microsemi™(Actel),Quicklogic®,Xilinx®等行业领先的FPGA器件。

Aldec, Inc., a pioneer in mixed HDL language simulation and hardware-assisted verification for FPGA and ASIC designs, advances VHDL’s verification capabilities with Active-HDL, version 13.0. This latest release introduces support for VHDL-2019 protected types with generics, composites of protected types, pointers to objects of protected types and composition with protected types.
Protected types were introduced in VHDL-2000 to allow the creation of class-like objects (similar to classes in C++), which then later became required for shared variables in VHDL-2002. In VHDL-2019, the capabilities of protected types have been significantly improved to address new use models essential for the creation of complex testbenches that require advanced data structures.

Protected types are a powerful mechanism for creating functional coverage, random test generation, messaging, unified error reporting and verification data structures such as memory models, FIFOs and scoreboards.

Engineers can also use protected types on an entity interface for sharing a single memory among multiple AXI4 memory-mapped external peripherals, ideal for verifying SoC FPGAs used for multi-sensor data aggregation.


What's New in Version 13


The following is a brief overview of new features and changes introduced to Active-HDL 13 (BUILD 375.8320, 3/18/2022).

Performance Improvements
- The randomization performance has been enhanced for specific cases of random constraints.
- Opening and closing time of Active-HDL projects containing a large number of objects has been significantly reduced.
- The time required to change an active library in a design containing a large number of files has been significantly reduced.
- Performance of updating the design contents by using the Refresh contents dialog box has been significantly improved.
- The compilation time of logical and arithmetic constructs utilizing a number of operands has been reduced.
- The time of compilation of VHDL source files in the batch mode (VSimSA) has been significantly decreased.

Compiler and Simulator

NOTE: Due to internal changes in the compiler and simulator as well as updates in third-party tool libraries, all user-defined libraries should be re-compiled after the installation of Active-HDL 13. The installation program of the current version delivers and installs only the updated system and vendor-specific libraries that do not require re-compilation after Active-HDL is installed. All existing designs will not have any problems associated with re-compiling the libraries. If you update Active-HDL to version 13 and do not re-compile your design libraries, the following error message will be displayed in the Console window:
# ELBREAD: Warning: Files created by the old version of the compiler found.
# ELBREAD: Error: Library '<library_name>' has incompatible format. Recompile all library units.
VHDL Compilation and Simulation
- Starting from Active-HDL 13, compilation of constructs specific to the IEEE Std 1076-1987 standard is allowed only in the compiler modes compliant with IEEE Std 1076-1993 and IEEE Std 1076-2002. If required, it can be turned off by passing the -disable87 argument of the acom command. In the remaining compiler modes, that is, VHDL 2008 and VHDL 2019, the compiler does not accept the constructs of IEEE Std 1076-1987 and reports an error. Note also that the corresponding changes are implemented in the graphical user interface. For more information on these changes, refer to the User Interface section. (SPT80277)
- The COMP96_0283 error message provides information about the type of an object triggering the message and incorrect number of indices specified by the user. (SPT80653)
The following features introduced by IEEE Std 1076-2019 standard have been implemented to the current version of the compiler and simulator. In order to use them, if not stated otherwise, they must be enabled with the Standard version option in the Compilation | VHDL category of the Design Settings dialog box or by using the -2019 argument of the acom command:
- VHDL 2019 lowers the precedence of the ** exponentiation operator below the abs and not unary operators. In the previous standard revision, all these operators had the equal precedence.
- The arrays and records of the file type are supported. All elements of such composite types must be of the file type or the composite type consisting only of subelements of the file types.
- The sequential block statements have been introduced. They allow grouping sequential statements in the same way as concurrent statements are grouped by the regular block statements. A sequential block statement can contain any sequential statement. In particular, other sequential block statements can be used there. The statements within a block can be preceded by declarations of objects which are local to the block. They are not visible outside of it and are removed from memory after the block execution is completed:
主要功能和优点

项目管理


  • 统一的基于团队的设计管理可保持本地或远程团队之间的一致性

  • 可配置的FPGA / EDA Flow Manager与200多家供应商工具接口,使团队可以在整个FPGA开发过程中保持在一个平台上
图形/文字设计输入


  • 通过使用文本,原理图和状态机快速部署设计

  • 使用更安全,更可靠的互操作加密标准来分发或交付IP
仿真与调试


  • 强大的通用内核混合语言模拟器,支持VHDL,Verilog,SystemVerilog和SystemC

  • 使用图形交互调试和代码质量工具确保代码质量和可靠性

  • 使用代码覆盖率分析工具执行指标驱动的验证,以识别设计中未执行的部分

  • 使用ABV-基于断言的验证(SVA,PSL,OVA)提高验证质量并发现更多错误
  • 能够模拟高级验证结构,例如SV功能覆盖率,约束随机化和UVM

  • 使用MATLAB®/Simulink®接口连接HDL仿真与DSP模块的高级数学建模环境之间的差距
文档HTML / PDF

  • 抽象设计智能,并使用HDL到原理图转换器以易于理解的图形形式表示它们

  • 通过自动生成HTML和PDF设计文档快速共享设计

Product: Aldec Active-HDL
Version: 13.0.375.8320
Supported Architectures: x64
Website Home Page : www.aldec.com
Languages Supported: english
System Requirements: Windows *
Size: 577.5 mb
此帖售价 29 电魂,已有 10 人购买 [记录] [购买]
购买后,将显示帖子中所有出售内容。
若发现会员采用欺骗的方法获取财富,请立刻举报,我们会对会员处以2-N倍的罚金,严重者封掉ID!
此段为出售的内容,购买后显示




软件下载问题联系sdbeta@qq.com
 
精品软件:百度搜闪电软件园  最新软件百度搜:闪电下载吧
有问题联系 sdbeta@qq.com
离线zhuhongfeng

发帖
491
今日发帖
最后登录
2024-02-26
只看该作者 沙发  发表于: 2021-01-12 09:14:21
谢谢分享!!!

发帖
1637
今日发帖
最后登录
2024-03-28
只看该作者 板凳  发表于: 2021-01-12 09:17:38
谢谢楼主分享
离线xueshanfeihu

发帖
621
今日发帖
最后登录
2024-03-28
只看该作者 地板  发表于: 2021-01-12 11:22:15
百度搜闪电软件园  最新软件百度搜:闪电下载吧
离线cntup

发帖
1550
今日发帖
最后登录
2024-01-21
只看该作者 地下室  发表于: 2021-01-12 21:52:41
Re:Aldec Active-HDL 11.1 Update 1 x64 + Libraries
离线guanyongfeng

发帖
906
今日发帖
最后登录
2024-03-28
只看该作者 5 发表于: 2021-01-13 11:00:17
支持楼主分享~!~!~!~
软件下载问题联系sdbeta@qq.com
 
离线cntup

发帖
1550
今日发帖
最后登录
2024-01-21
只看该作者 6 发表于: 2021-01-14 09:24:34
Re:Aldec Active-HDL 11.1 Update 1 x64 + Libraries
离线gqhaha

发帖
728
今日发帖
最后登录
2024-03-28
只看该作者 7 发表于: 2021-01-14 19:25:49
Re:Aldec Active-HDL 11.1 Update 1 x64 + Libraries
离线loujing

发帖
136
今日发帖
最后登录
2024-03-27
只看该作者 8 发表于: 2021-01-14 19:36:01
感谢分享,正好需要!
离线cntup

发帖
1550
今日发帖
最后登录
2024-01-21
只看该作者 9 发表于: 2021-01-15 14:02:55
Re:Aldec Active-HDL 11.1 Update 1 x64 + Libraries